joi, 27 august 2009

Aldec Riviera PRO 2009 02

Aldec Riviera PRO 2009 02

Riviera PRO is a high performance verification platform
for ASIC and FPGA design teams, equipped with
mixed language simulation engine and advanced debugging
tools. Riviera PRO supports Electronic System Level ESL
Verification with SystemC and SystemVerilog, Assertions
Based Verification ABV, Transaction Level Modeling TLM
and VHDL/Verilog Linting. Riviera PRO works in command
line mode for maximum speed and provides a powerful GUI
for enhanced editing, tracing, and debugging. Riviera PRO
is compatible with popular EDA products such as Synopsys
SmartModels, Novas, Denali, MATLAB and Simulink.


BUY Aldec Riviera PRO 2009 02 30$

TAGS cheap Aldec Riviera PRO 2009 02, discount Aldec Riviera PRO 2009 02, oem Aldec Riviera PRO 2009 02

Niciun comentariu:

Trimiteți un comentariu